Welcome![Sign In][Sign Up]
Location:
Search - Verilog rgb

Search list

[VHDL-FPGA-Verilog能综合的YCrCb2RGB模块(verilog)_采用3级流水线

Description: 能综合的YCrCb2RGB模块(verilog)_采用3级流水线,用fpga做小数运算,还有就是流水线技术 -can YCrCb2RGB integrated module (Verilog) _ used three lines, they simply do with fractional arithmetic, there is pipelining technology
Platform: | Size: 1024 | Author: 于飞 | Hits:

[Special Effectscolorchange

Description:
Platform: | Size: 12288 | Author: 翟博 | Hits:

[3D GraphicYCbCr_to_rgb

Description: 颜色空间转换代码,ycbcr对rgb的转换verilog代码.YCBCR的格式是ITU601格式.-color space conversion code, RS right rgb conversion Verilog code. YCBCR format is ITU601 format.
Platform: | Size: 1024 | Author: Jack | Hits:

[Special Effectsbayer

Description: 本程序可将全彩RGB图像转换为贝尔模板,用于原始数据处理.-this procedure can be full color RGB image is converted to Bell template for the original data processing.
Platform: | Size: 1024 | Author: songwei | Hits:

[Other Embeded programrgb2yuv

Description: verilog编写,rtl风格,流水线设计,实现图像rgb格式到yuv格式的转换。-Verilog prepared, rtl style, pipeline design, realize image rgb to yuv format format conversion.
Platform: | Size: 1024 | Author: 苗苗 | Hits:

[Special Effectsyuv2rgb

Description:
Platform: | Size: 1024 | Author: scounix | Hits:

[Graph programcolor_space_converters

Description: YCrCb到RGB的变换以及RGB到YCrCb的反变换,可用于视频采集等领域,verilog编码,modelsim验证-YCrCb to RGB and RGB to the YCrCb transform the inverse transform can be used in areas such as video capture, verilog coding, modelsim authentication
Platform: | Size: 7168 | Author: mayang | Hits:

[Special EffectsYUVTORGB

Description: 代码为YUV转换成RGB的源代码,实验是可以用的。可以稍微修改作其它转换。-Code to convert RGB to YUV source code, the experiment can be used. Can be slightly modified for the other conversion.
Platform: | Size: 3190784 | Author: 邱方奎 | Hits:

[Graph programbt_rx

Description: 在FPGA上验证过的BT656转换成RGB的Verilog源代码
Platform: | Size: 3072 | Author: liu zhanliang | Hits:

[Graph programrgb2ycrcb

Description: 真正可用的RGB转YCbCr的verilog程序,输出格式为标准BT.656格式,经本人亲自编写并验证,可在硬件上正常工作。-Truly available to the Verilog RGB to YCbCr procedures BT.656 output format as the standard format, as I personally prepared and verified in the hardware work correctly.
Platform: | Size: 2048 | Author: cloud | Hits:

[OtherRGB_color_transform_gray_level_co-design_of_C_and_

Description: to use verilog code and c to translate a RGB bmp image(512*512) to a gray level image -to use verilog code and c to translate a RGB bmp image (512* 512) to a gray level image
Platform: | Size: 701440 | Author: Annbb | Hits:

[Graph programD_JPG

Description: verilog 语言实现jpeg转RGB格式的转换-Verilog language jpeg format to RGB conversion
Platform: | Size: 31744 | Author: 林志平 | Hits:

[VHDL-FPGA-VerilogRGBtoYCbCr

Description: 采用FPGA实现色彩空间转换R’G’B’ to Y’CbCr的VHDL和verilog源代码,支持xilinx的各种器件. -FPGA realization of the use of color space conversion RGB to Y CbCr of VHDL and Verilog source code, to support a variety of Xilinx devices.
Platform: | Size: 411648 | Author: Jackson | Hits:

[VHDL-FPGA-Verilogrgb2ycrcb

Description: RGB转为YCBCR格式的verilog源代码,对熟悉verilog编程有帮助-RGB to YCbCr format Verilog source code, to people familiar with Verilog programming help
Platform: | Size: 17408 | Author: dongming | Hits:

[Special EffectsRGB2YUV

Description: RGB -> YUV转换verilog代码-RGB-> YUV verilog
Platform: | Size: 12288 | Author: 猫贼 | Hits:

[Graph program5406B

Description: 使用verilog串口初始化ic,并显示rgb三种颜色,ic为旭耀5406b-Verilog to initialize the use of serial ic, and display the three rgb color, ic for Yao Xu 5406b
Platform: | Size: 2048 | Author: timmp | Hits:

[VHDL-FPGA-Verilogxapp283

Description: YUV到RGB的色彩空间转换器(VHDL,Verilog and doc)-Color Space Converter: Y’CrCb to R’G’B’
Platform: | Size: 175104 | Author: wicky | Hits:

[OtherYUV2RGB

Description: 关于YUV转RGB的verilog源代码、说明文档和modelsin仿真,相信对大家一定有很大的帮助,我费了好长时间才找到的!-YUV to RGB on the verilog source code, documentation and modelsin simulation, we believe that there will be a great help, I spent a good long time to find it!
Platform: | Size: 1874944 | Author: 冯谋朝 | Hits:

[ARM-PowerPC-ColdFire-MIPSvgactl9

Description: EPM240+IS61LV1024+VERILOG实现简单的VGA控制器,RGB各1bit,与AT91SAM7S64接口.-EPM240+ IS61LV1024+ VERILOG to achieve a simple VGA controller, RGB each 1bit, and AT91SAM7S64 interface.
Platform: | Size: 563200 | Author: 刘聪 | Hits:

[Special EffectsRGB2YUV(Verilog)

Description: YUV颜色空间转RGB颜色空间,verilog代码实现-YUV to RGB,verilog
Platform: | Size: 2048 | Author: 蔡玉强 | Hits:
« 12 3 4 5 »

CodeBus www.codebus.net